checkAd

     259  0 Kommentare Asyst mit Multi-Millionen Dollar Auftrag

    Weniger als zwei Monate nach Vorstellung des neuen FasTrack Transportsystems für die Halbleiterfertigung kann Asyst Technologies Inc. (Nasdaq: ASYT) den ersten Kunden hierfür präsentieren. Atmel Corporation (Nasdaq: ATML) erteilt einen multi-Millionen US-Dollar schweren Auftrag zur Ausrüstung seiner Fab 8 in Irving, Texas. Hier werden nicht-flüchtige Speicher und Mikrocontroller in 200-mm Wafertechnologie hergestellt. Die Installation soll bis 2001 komplett abgewickelt sein.


    Atmel ist bereits Kunde von Asyst. Die neue Order ergänzt die früher beschafften Geräte mit dem Ziel, die Produktioon vollständig zu automatisieren.


    FasTrack ist kompatibel zu den Richtlinien der SEMI und soll die Investitionskosten von Transportsystemen um etwa 25 Prozent reduzieren. Geschwindigkeit und zeitgenauer Transport sollen durch den Einsatz des neuen Systems hingegen erheblich steigen.


    Asyst Technologies Inc. bietet ein breites Portfolio von Transportsystemen für 200 und 300 mm Wafer an und bezeichnet sich selbst als weltgrößten Anbieter bei der Automatisierung von Halbleiterwerken. Das Unternehmen hat im Geschäftsjahr 2000 (März) 225 Mio. US-Dollar umgesetzt. 9 Analysten werten die Aktie des Unternehmens mit durchschnittlich 1,39 und taxieiern für das laufende Geschäftsjahr einen Gewinn von 2,14, für das nächste einen von 2,60 US-Dollar je Aktie. Mit einem 2001-er KGV von unter 13 und langfristigem Wachstum von 25 Prozent pro Jahr erscheint die Aktie von Asyst gegenwärtig günstig bewertet.


    Die ASYT-Aktie schloss in der Vorwoche bei 27,38 US-Dollar. 52-Wochen Range zwischen 13 und 67 US-Dollar.




    Klaus Singer
    0 Follower
    Autor folgen
    Mehr anzeigen
    Das Buch von Robert Rethfeld und Klaus Singer: Weltsichten - Weitsichten. Ein Ausblick in die Zukunft der Weltwirtschaft.
    Mehr anzeigen
    Verfasst von Klaus Singer
    Asyst mit Multi-Millionen Dollar Auftrag Weniger als zwei Monate nach Vorstellung des neuen FasTrack Transportsystems für die Halbleiterfertigung kann Asyst Technologies Inc. (Nasdaq: ASYT) den ersten Kunden hierfür präsentieren. Atmel Corporation (Nasdaq: ATML) erteilt einen …

    Schreibe Deinen Kommentar

    Disclaimer