checkAd

     423  0 Kommentare Lam's New Etch and Deposition Products Control Process Variability to Enable Advanced Multiple Patterning - Seite 2

    The VECTOR ALD Oxide system utilizes atomic-scale deposition to deliver highly conformal films with excellent thickness uniformity, high repeatability, and low defectivity. These capabilities are essential for spacer-based multiple patterning approaches, where the deposited film becomes the mask and defines critical pattern dimensions for the subsequent step. The VECTOR ALD Oxide system can deposit films at low temperatures, enabling spacer formation on a wide variety of materials. In addition, the hardware design allows fast gas-switching, providing a productivity advantage over competitive systems.

    "Lam's advanced technologies for multiple patterning applications are helping our customers overcome one of their most fundamental challenges," said Rick Gottscho, executive vice president of Global Products. Lam Research is engaged in a number of strategies to stay at the forefront of innovation. These include the recently announced joining of imec's Supplier Hub for the development of advanced patterning solutions. "As chipmakers continue to scale device designs, our etch and deposition systems are becoming increasingly important for extending optical lithography to build these smaller, higher-density, advanced semiconductors," Gottscho concluded.

    Anzeige 
    Handeln Sie Ihre Einschätzung zu Lam Research!
    Short
    982,35€
    Basispreis
    0,59
    Ask
    × 14,56
    Hebel
    Long
    849,97€
    Basispreis
    7,36
    Ask
    × 11,67
    Hebel
    Präsentiert von

    Den Basisprospekt sowie die Endgültigen Bedingungen und die Basisinformationsblätter erhalten Sie bei Klick auf das Disclaimer Dokument. Beachten Sie auch die weiteren Hinweise zu dieser Werbung.

    Caution Regarding Forward-Looking Statements

    Lesen Sie auch

    Statements made in this press release that are not of historical fact are forward-looking statements and are subject to the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. Such forward-looking statements relate to, but are not limited to, statements concerning the performance of Lam's products, such as their ability to deliver the process control and productivity needed for advanced patterning, and the increasing importance of Lam's systems for extending optical lithography. Such forward looking statements are based on current beliefs and expectations and are subject to risks, uncertainties and changes in condition, significance, value and effect, including those discussed in Lam's annual report on Form 10-K under the heading "Risk Factors" as well as in other documents filed by Lam with the Securities and Exchange Commission. Such risks, uncertainties and changes in condition, significance, value and effect could cause actual results to differ materially from those expressed herein and in ways not readily foreseeable. Readers are cautioned not to place undue reliance on these forward looking statements, which speak only as of the dates made and of information reasonably known to Lam as of the dates the statements were made. We undertake no obligation to release the results of any revisions to these forward looking statements which may be made to reflect events or circumstances which occur after the date hereof or to reflect the occurrence or effect of anticipated or unanticipated events.

    Seite 2 von 3


    Diskutieren Sie über die enthaltenen Werte



    Verfasst von Marketwired
    Lam's New Etch and Deposition Products Control Process Variability to Enable Advanced Multiple Patterning - Seite 2 SAN FRANCISCO, CA--(Marketwired - July 07, 2014) - Lam Research Corp. (NASDAQ: LRCX), a major global supplier of innovative wafer fabrication equipment and services to the global semiconductor industry, today released two new products that deliver …

    Schreibe Deinen Kommentar

    Disclaimer